Constraint File(制約ファイル)とは?

  • Vivadoを用いてFPGAの設計をするときにはVivadoに対してpinとHDLで用いるinput,outputの対応関係を教える必要がある。
  • Constraint fileの拡張子は.xdcで、自分で回路図を見ながら作ることもできるが以下のURLからダウンロードするのが楽。
    https://github.com/Digilent/digilent-xdc/
testbench/how_to_use_vivado.txt · 最終更新: 2019/02/15 09:07 (外部編集)
CC Attribution-Share Alike 4.0 International
Driven by DokuWiki Recent changes RSS feed Valid CSS Valid XHTML 1.0