===Constraint File(制約ファイル)とは?=== * Vivadoを用いてFPGAの設計をするときにはVivadoに対してpinとHDLで用いるinput,outputの対応関係を教える必要がある。 * Constraint fileの拡張子は.xdcで、自分で回路図を見ながら作ることもできるが以下のURLからダウンロードするのが楽。\\ https://github.com/Digilent/digilent-xdc/